IIR滤波器的设计与实现(内含设计IIR滤波器的高效方法)

news/2024/5/10 0:29:52

写在前面:初学者学习这部分内容,要直接上手写代码可能会感到比较困难,我这里推荐一种高效快速的设计IIR,FIR滤波器的方法——MATLAB工具箱:filterDesigner。打开的方法很简单,就是在命令行键入:filterDesigner,就可以打开了。打开以后,界面是这样的:

直接输入设计的参数,想要的类型就可以很快的设计出滤波器了。点击“文件”,还可以生成MATLAB代码。

还有一个很值得介绍的是:MATLAB FTVTool(滤波器可视化工具)

示例:(可视化工具会打卡下面这个窗口)

b = firls(80,[0 0.11 0.19 1],[1 1 0 0],[1 100]); % Design an FIR filter
hd = dfilt.dffir(b); % Create the direct-form FIR filter.
set(hd,'Arithmetic','fixed');  % Quantize filter using 16-bit
% fvtool(b,hd);      % Compare the fixed-point filter with reference
h1 = copy(hd);       % Copy hd to h1
set(h1,'CoeffWordLength',12); % Use 12 bits for coefficients
fvtool(hd, h1);      % Compare 12-bit & 16-bit filters
  • (笔记正文)

  • 背景知识

    • 理想滤波器的特性,实际滤波器的妥协

      • 滤波:去除信号中不想要的成分或者增强所需成分

      • 理想与实际的妥协——容许有一定的误差:1.通带可能有波纹;2.阻带可能有非零增益;3.通带和阻带之间可能有过渡带。

    • FIR vs IIR

      • FIR:可实现任意幅度和相位响应、可实现线性相位但通常需要较大的阶数𝑀。

      • IIR:基于模拟滤波器设计而转换得到;通常只能实现频率选择性滤波但通常只需较小的阶数M

  • IIR数字滤波器的设计

    • 基于模拟滤波器的设计

      • 模拟滤波器的4种就经典类型:

        • 通带阻带都单调的巴特沃斯

        • 通带等波纹,阻带单调的切比雪夫I型(过渡带比巴特沃斯窄)

        • 阻带等波纹,通带单调的切比雪夫II型(过渡带比巴特沃斯窄)

        • 通带阻带都等波纹的椭圆滤波器(过渡带最窄)

      • IIR数字滤波器设计流程:

        • 预畸变:将关键的频率指标由数字域的𝜔转换为模拟域的Ω

        • 频率转换:若设计高通、带通或带阻,可由原型模拟低通

        • 滤波器𝐻LP(𝑠)通过频率转换得到

        • 低通设计:设计出原型模拟低通滤波器𝐻LP(𝑠)

        • 双线性变换:从模拟𝑠域映射到数字𝑧域

        • 验证:验证所得𝐻(𝑧)的性能

      • 模拟原型低通滤波器:以以巴特沃兹滤波器为例(省略推导过程)

        • 由4个参数作为滤波器指标(或者是通带衰减,阻带衰减rs,rp)

        • 子主题 2

      • 模拟𝑠域的频率变换:从低通到高通、带通、带阻

        • 低通——>高通

        • 低通——>带通

        • 低通——>带阻

      • 双线性变换:从模拟𝑠域到数字𝑧域

        • 目的:从模拟滤波器𝐻(𝑠)映射到数字滤波器𝐻(𝑧)

        • 使用双线性变换前,需对指定频率𝜔 进行预畸变至Ω = 2 tan( 𝜔/2)

    • IIR滤波器设计案例


http://www.mrgr.cn/p/08261760

相关文章

客户端连接ZK失败处理方案

文章目录 背景介绍报错信息处理方案第一步、查看zookeeper启动是否正常第二步、检查本地网络是否正常第三步、检查本地JDK版本 对于zookeeper服务注册中心,在前期【 Dubbo框架注册中心-Zookeeper搭建】博客中有环境搭建部署介绍,感兴趣可以参考安装。 背…

Hive架构原理

Hive Hive 的架构是设计用于在大数据环境下进行数据仓库操作和分析的系统。它建立在 Hadoop 生态系统之上,利用 Hadoop 的存储(HDFS)和计算(MapReduce、Tez、Spark 等)能力。 1. 元数据存储(Metastore&am…

如何从0到1设计诊断系统

诊断系统设计主要涵盖了诊断方案设计、诊断需求定义和诊断数据库开发。本文会逐一介绍这些环节,以便更好地理解和把握诊断系统设计的全貌。引言在整车电子电气体系中,诊断系统的设计扮演着至关重要的角色,负责支持整车的刷写、故障排查和EOL(End of Line)等关键操作。这一重…

springboot实现https双向认证

1.生成证书#生成服务端密钥文件localhost.jks keytool -genkey -alias xdd-server -keyalg RSA -keysize 2048 -sigalg SHA256withRSA -keystore xdd-server.jks -dname CN=pero,OU=pero,O=pero,L=sz,ST=gd,C=cn -validity 3650 -storepass xxx-password-xxx -keypass xxx-pass…

JDK源码分析-Vector

概述 Vector 是 Java集合中线程安全的动态数组,它也可以根据需要进行扩容和缩容,与 ArrayList 类似。但有一个重要的区别,Vector 是同步的,也就是它的操作是线程安全的,在某些特定场景下是可以保证线程安全的,但同时也会带来性能损耗,因此在单线程环境通常还是推荐使用 …

(windows ssh) windows开启ssh服务,并通过ssh登录该win主机

☆ 问题描述 想要通过ssh访问win主句 ★ 解决方案 安装ssh服务 打开服务 如果这里开不来就“打开服务”,找到下面两个开启服务 然后可以尝试ssh链接,注意,账号密码,账号是这个: 密码是这个 同理,如果…

【React】Sigma.js框架网络图-入门篇

一、介绍 Sigma.js是一个专门用于图形绘制的JavaScript库。 它使在Web页面上发布网络变得容易,并允许开发人员将网络探索集成到丰富的Web应用程序中。 Sigma.js提供了许多内置功能,例如Canvas和WebGL渲染器或鼠标和触摸支持,以使用户在网页上…

改善员工绩效管理的 8 种最佳方法

企业如何改进绩效管理体系,才能获得最好的结果?请仔细阅读,找出答案… 人力资源部门对组织的成功起着至关重要的作用,组织的员工也是如此。更好的组织管理会带来更高的利润。人力资源部门的工作很大一部分就是规范绩效管理体系,营造员工能做出最好的工作、发挥最大能力的工…

【数据结构】时间复杂度的例题

🎁个人主页:我们的五年 🔍系列专栏:数据结构 🌷追光的人,终会万丈光芒 目录 🌷例题1: 🌷例题2: 🌷例题3: 🌷例题4&am…

amCharts图像分类

代码案例<!DOCTYPE html> <html><head><script src="https://cdn.amcharts.com/lib/5/index.js"></script><script src="https://cdn.amcharts.com/lib/5/xy.js"></script><script src="https://cdn.am…

车用MCU,R7F701320EAFP、R7F701321EAFP、R7F701322EAFP、R7F701323EAFP微控制器功耗低,闪存容量高达2MB

RH850/P1M 是适用于底盘系统的汽车微控制器,功耗低,闪存容量高达 2 MB,RAM 容量高达 128 KB。RH850/P1M——适用于底盘系统的汽车用微控制器 简介 RH850/P1M 微控制器功耗低,闪存容量高达 2 MB,RAM 容量高达 128 KB,具有增强型电机控制定时器、CAN 接口、SENT 和 PSI5 等…

Recommended Azure Monitors

General This document describes the recommended Azure monitors which can be implemented in Azure cloud application subscriptions. SMT incident priority mapping The priority “Blocker” is mostly used by Developers to prioritize their tasks and its not a…

主打熟人双向社交,UXLINK 如何用群组打造超强社交生态

社交&#xff0c;作为最强 Web3 流量入口 Web2 世界里&#xff0c;社交产品总是最具想象力。全球使用 Facebook 系列产品的日活用户&#xff08;DAP&#xff09;均值近 30 亿人&#xff0c;占全球人口的 1/3。然而&#xff0c;加密货币用户仅约有 4.2 亿&#xff0c;占全球人口…

Apache RocketMQ ACL 2.0 全新升级

我们推出了 RocketMQ ACL 2.0 升级版,进一步提升 RocketMQ 数据的安全性。本文将介绍 RocketMQ ACL 2.0 的新特性、工作原理,以及相关的配置和实践。作者:徒钟 引言 RocketMQ 作为一款流行的分布式消息中间件,被广泛应用于各种大型分布式系统和微服务中,承担着异步通信、系…

说说你对分而治之、动态规划的理解?区别?

一、分而治之 分而治之是算法设计中的一种方法,就是把一个复杂的问题分成两个或更多的相同或相似的子问题,直到最后子问题可以简单的直接求解,原问题的解即子问题的解的合并 关于分而治之的实现,都会经历三个步骤:分解:将原问题分解为若干个规模较小,相对独立,与原问题…

【C语言】深入解析选择排序算法

一、算法原理二、算法性能分析三、C语言实现示例四、总结 一、算法原理 选择排序&#xff08;Selection Sort&#xff09;是一种简单直观的排序算法。它的工作原理是不断地选择剩余元素中的最小&#xff08;或最大&#xff09;元素&#xff0c;放到已排序的序列的末尾&#xff…

科普:嵌入式代码软件在环(SiL)测试的可靠性

​​关键词:嵌入式系统、软件在环(SiL)、测试、生命周期01.简介当前,嵌入式系统开发的大趋势为通过软件实现大量的硬件功能,这导致软件的复杂程度显著上升——代码开发成本和风险也成倍增加。复用已有系统中的软件组件是改进嵌入式系统生命周期的一种可能的解决方案,对代…

hitcontraining_heapcreator

[BUUCTF]hitcontraining_heapcreator UAF|Off-By-One|堆溢出 对应libc版本libc6_2.23-0ubuntu9_amd64 [*] /home/bamuwe/heapcreator/heapcreatorArch: amd64-64-littleRELRO: Partial RELROStack: Canary foundNX: NX enabledPIE: No PIE (0x3fc000)bamu…

django自定义构建模板,通过bootstrap实现菜单隐藏和显示

实现后的界面1.自定义页面模板实现 主页面代码(home.html) {% extends layout.html %} #引用模板 {% load static %} {% block content %}<h3>欢迎登录</h3> {% endblock %}自定义内容layout.html文件设置(模板){% load static %} {% load menu %} #导入me…

五一~感恩回馈,SolidKits工具折扣来袭!

SOLIDWORKS插件多样且丰富,有着不同的种类和用途,可以为SOLIDWORKS软件本身提升使用效率,更快速的响应你的操作方式。SolidKits自主设计研发多款SOLIDWORKS增效插件,包括:自动化参数设计插件、高级BOM插件、批量编码器插件、标准件增强工具等,也可提供按需定制开发服务。…