ACPWorkbench_for_BP10

news/2024/5/19 14:53:37

一、菜单

        文件菜单包含导入导出所有参数,导出flashbin文件和退出操作。文件菜单显示如下:

        Import Audio Settings:从音频配置文件中导入音频参数。

        Export Audio Settings:将音频设置导出为音频配置文件。

        Export Flash Binary File:导出带配置的FLASH BIN文件。

        Convert Audio Settings File: 当导入的 INI 文件与当前固件的中版本号或大版 本号不同时,ACPWorkbench禁止导入,用于防止版本不同引入的错误。如 果需要使用旧版本INI文件中的参数,需要通过ConvertAudioSettingsFile 去转换INI文件,转换后的INI文件便可导入当前固件。

二、设置

        设置菜单包含了通讯类型选择,全局采样率选择和全局MCLK源选择操作, 设置菜单如下所示

        Communication type: 包含两种 UART 和 USB 两种通讯方式,通过点击。

        Communication Type 会弹出通讯方式的设置界面如下图所示:

        Sample rate ( global ): 包含 Inactive 和 9 种采样率(8000-48000Hz)。选择 9 种采样率时,全局采样率使能,所有的音效模块按照当前采样率配置;选择 Inactive 时,全局采样率不起效,每个音效模块按照局部采样率参数进行配置 

         MCLKsource ( global ):包含Inactive 和5种MCLK 源选择。选择5种MCLK 源:PLLClock1、PLLClock2、OSC IN、MCLK IN0、MCLK IN1 以及全局MCLK 无效Inactive;选择5种源时,局部MCLK源不起作用;选择Inactive时,全局 MCLK源不起效,每个模块按照局部MCLK源进行配置

三、下载

        ACPWorkbench.exe 支持在线固件升级功能,通过点击“Downloader”菜单, “Firmware Upgrader”窗口就会弹出来。然后选择下载的bin文件,点击下载按钮 便可将升级升级。并且升级过程实时显示在升级窗口的状态栏中。

在线保存参数到flash

        下载菜单支持在线保存参数到flash功能。保存参数之后,固件下次上电时 会从flash 中读取参数。

四、Effects

        当ACPWorkbench.exe 切换到 Audio Effect 页面时,主菜单会多出effects功能显示。

        Enable all effects: 通过点击该菜单,使能音效列表中所有的音效.

        Disable all effects: 通过点击该菜单,关闭音效列表中所有的音效.

        Unfold all effects: 通过点击该菜单,将音效中的所有参数显示出来。

        Fold all effects: 通过点击该菜单,将音效中的所有音效参数隐藏起来。

        ShowAudio Effect Flow: 通过点击该菜单,将显示当前固件的默认音效流框图。

        以上功能,也可以在音效界面中通过点击鼠标右键,在弹出的菜单界面中选择。

五、系统控制

        Sleep:点击该按钮后,固件进入休眠状态,上位机界面显示如下图所示,点击闹钟图标,系统退出休眠进入正常工作状态。

        Reset: 点击该按钮,固件将会软件复位。 Refresh: 点击该按钮,上位机会刷新所有的参数。         Factory Reset: 点击该按钮,固件将一键还原出厂默认参数。 Tag: 点击该按钮,上位机将会读取固件的自定义标签,如下图。可以通过 ASCII 界面或HEX界面修改参数,点击“SET”设置新的标签。

六、音频模块设置

        音频设置包含PGA模拟输入、ADC数字部分,DAC数字部分,I2S数字部 分,S/PDIF 部分,GPIO部分以及VOL配置。

 6.1 PGA

6.1.1 模拟输入

        对于AP82xx,DU56x, DU26x 系列: Line1,2,4: 使能或禁能line 1, line 2, line4 MIC1,2,3,4: 使能或禁能microphone 1,2,3,4 PGA0 Input Mode: 包含 Line1/Line2 Single-ended input、line1 and line2 left channel differential input、line1 and line2 right channel differential input、line1 以及 line2 both channel differential input.

        对于BPxx系列: Line1,2,3,4,5: 使能或禁能 microphone 1,2,3,4,5 MIC1,2: 使能或禁能microphone1,2 PGA0 Input Mode: 包含 Line1/Line2 Single-ended input、line1 and line2 left channel differential input、line1 and line2 right channel differential input、line1 以及 line2 both channel differential input.

6.1.2 MIC Gain Boost

        当选择MIC作为模拟输入时,对应的MICgainboost的选择将会起效。MIC gain boost 参数包括:

        对于AP82xx,DU56x,DU26x系列:Bypass、0dB、6dB、12dB以及20dB; 对于BPxx系列:Bypass、9dB、18dB以及27dB。

6.2 ADC

6.2.1 使能

        使能或禁能ADC数字部分

5.2.2 采样率(局部)

        ADC支持9种采样率:8000Hz、11025Hz、12000Hz、16000Hz、22050Hz、24000Hz、32000Hz、44100Hz 以及 48000Hz。ADC 数字部分里的采样率是局部 采样率,当全局采样率使能时,局部采样率将会失效。如果想使用局部的采样率, 需将全局采样率禁能。

5.2.3 MCLK源(局部)

        ADC支持5种MCLK源:PLL1生成、PLL2生成、OSC灌入、GPIO0灌入、 GPIO1 灌入以及1种系统MCLK源无效Inactive选项。选择5种MCLK源时, 模块内部的MCLK源无效。当选择系统MCLK源无效inactive选项时,模块内 部的MCLK源才有效。

        对于BPxx系列,MCLK源不支持osc_clk灌入。

6.2.4 Fade Time

        Fade time 数值的大小决定mute/unmute时淡入淡出的时间长短。

6.2.5 LR Swap

        支持左右通道交换。

6.2.6 DC Blocker(直流阻断)

        直流阻断主要用于过滤掉ADC数据中的直流分量。

6.2.7 AGC 控制

        AP82xx,DU56x,DU26x 包含AGC0和AGC1两个模块。BPxx系列只包含AGC1模块。

        Mode: AGC 功能选择,可选参数有:右声道使能AGC, 左声道使能AGC和左右声道使能AGC。

        Max Level:AGC 最大目标,范围:-34dBFS~-3dBFS.

        Target Level: AGC 最小目标,范围:-34dBFS~-3dBFS.

        Min Gain:AGC最小增益.

        对于AP82xx,DU56x, DU26x 系列,其范围:-20.3dB~39.64dB.

        对于BPxx系列,其范围:-18.29dB~21.14dB.

        Max Gain:AGC 最大增益.

        对于AP82xx,DU56x, DU26x 系列,其范围:-20.3dB~39.64dB.

        对于BPxx系列,其范围:-18.29dB~21.14dB.

        Gain Offset: 范围:-4~3.5dB

        Frame Time:AGC 一帧的时间,范围:1ms~4096ms

        Attack Time: AGC 上升时间,范围:1ms~4096ms 16

        Hold N Frames:AGC 保持N个FrameTime时间,范围:0~31

        Decay Time:AGC 释放时间,范围:1ms~4096ms

6.2.8 噪声抑制

        Threshold: 门限值.

        对于AP82xx,DU56x, DU26x,其范围:-76.5dBFS~-30dBFS。

        对于BPxx, 其范围:-90dBFS~-28dBFS。

        Type: 噪声抑制的类型:Outdatalevel 和 indatalevel.

        Noise Hold N Frames: 噪声抑制保持N个Frames. 范围:0~31

6.3 DAC数字部分

6.3.1 使能

        使能或禁能DAC的数字模块。

6.3.2 采样率(局部)

        DAC采样率支持:8K,11.025K,12K,16K,22.05K, 24K, 32K, 44.1K和48K。当全局采样率使能时,该采样不起作用。直到全局采样率禁能时,该采样率才会对模块起作用。

6.3.3 MCLK源(局部)

        DAC支持5种MCLK源:PLL1生成、PLL2生成、OSC灌入、GPIO0灌入 以及GPIO1灌入。选择5种MCLK源时,模块内部的MCLK源无效。当选择系统MCLK源无效inactive选项时,模块内部的MCLK源才有效。

        对于BPxx系列,MCLK源不支持osc_clk灌入。

6.3.4 Fade Time

        同ADCFadeTime章节。

6.3.5 Dither

        抖动功能使能

6.3.6 Scramble

        对于AP82xx, DU56x, DU26x 模式选择,可配置值有:Off\DWA\Random DWA\BUTTERFLYDWA.

        对于BPxx模式选择,可配置值有:Off \DWA\RandomDWA\POSNEG Separation.

6.3.7 输出模式

        立体声和单声道的选择,参数如下:

        Stereo(L,R): 标准输出,左声道输出左声道的数据,右声道输出右声道的数据。 Stereo(R,L): LR 交换输出,左声道输出右声道的数据,右声道输出左声道的数 据。 Mono1:(L+R)/2 之后同时从左右声道输出。

        Mono2:左声道输出(L+R)/2,右声道输出-(L+R)/2;

6.4 I2S 数字部分

6.4.1 使能

        使能或禁能I2S的数字模块。

6.4.2 采样率(局部)

        I2S 采样率支持:8K,11.025K,12K,16K, 22.05K, 24K, 32K, 44.1K, 48K, 88.2K, 96K, 176.4K 和 192K。当全局采样率使能时,该采样不起作用。直到全 局采样率禁能时,该采样率才会对模块起作用。

6.4.3 MCLK源(局部)

        I2S 支持5种MCLK源:PLL1生成、PLL2生成、OSC灌入、GPIO0灌入 以及GPIO1灌入。选择5种MCLK源时,模块内部的MCLK源无效。当选择 系统MCLK源无效inactive选项时,模块内部的MCLK源才有效。

        对于BPxx系列,MCLK源不支持osc_clk灌入。

6.4.4 Fade Time

        同ADCFadeTime章节。


http://www.mrgr.cn/p/83206256

相关文章

目录遍历-基于Pikachu的学习

目录遍历 原理 目录浏览漏洞是由于网站存在配置缺陷,存在目录可浏览漏洞,这会导致网站很多隐私文件与目录泄露,比如数据库备份文件、配置文件等,攻击者利用该信息可以更容易得到网站权限,导致网站被黑。 Pikachu 打开题目就是两个超链接,我随便点了一个发现url发现变化,有…

Testing Egineer note:2024_5_5-day05-part01

版本控制器之svn介绍 1.svn介绍(版本控制工具) 1、svn的定义: svn是一个开放源代码的版本控制系统,通过采用分支管理系统的高效管理,简而言之就是用于多个人共同开发同一个项目,实现共享资源,实现最终集中式个管理。 2.snv的作用: 在项目中对需求规格说明书,测试用例,…

[UDS][OTA] 自定义 IntelHEX (IHEX) format read/write library in C

参考修改 参考github的MIT协议开源项目 ihex 改写的代码 https://gitee.com/liudegui/intelhex-c 修改点: 修改Makefile脚本,支持x86_X64平台和aarch64平台将默认读取行长度设置为16位删除与ihex和bin之间的转换无关的示例代码 十六进制描述 HEX格式…

9.前端——HTML详细

HTML详解 Hyper Text Markup Language (超文本标记语言) HTML5 W3C(万维网联盟World Wide Web Consortium) 国际中立性技术标准机构 W3C标准包括结构化标准语言(HTML,XML) 表现标准语言(CSS) 行为标准(DOM,ECMAScript)网页基本结构 <!--网页基本结构--> <!--D…

HCIP第二节

OSPF&#xff1a;开放式最短路径协议&#xff08;属于IGP-内部网关路由协议&#xff09; 优点&#xff1a;相比与静态可以实时收敛 更新方式&#xff1a;触发更新&#xff1a;224.0.0.5/6 周期更新&#xff1a;30min 在华为设备欸中&#xff0c;默认ospf优先级是10&#…

Stable Diffusion WebUI 中文提示词插件 sd-webui-prompt-all-in-one

本文收录于《AI绘画从入门到精通》专栏,订阅后可阅读专栏内所有文章,专栏总目录:点这里。 大家好,我是水滴~~ 今天为大家介绍 Stable Diffusion WebUI 的一款中文提示词插件 sd-webui-prompt-all-in-one,就像它的名字一样,该插件几乎涵盖了提示词相关的所有功能。 文章内…

(搬运)碳知识大全

碳交易的一个小例子: 年初,有两个公司A和B,A公司每年规定排放二氧化碳100吨/年,B也是规定排放二氧化碳100吨/年;政府发放给A的碳配额是100吨/年,发放给B的碳配额也是100吨/年;2)年底,A公司通过节能改造,仅排放二氧化碳80吨,多余的20吨二氧化碳配额,就可以在碳交易市…

Over-Permission-基于Pikachu的学习

越权漏洞 原理 该漏洞是指应用在检查授权时存在纰漏,使得攻击者在获得低权限用户账户后,利用一些方式绕过权限检查,访问或者操作其他用户或者更高权限。越权漏洞的成因主要是因为开发人员在对数据进行增、删、改、查询时对客户端请求的数据过分相信而遗漏了权限的判定,一旦…

【喜报】科大睿智为武汉博睿英特科技高质量通过CMMI3级评估咨询工作

武汉博睿英特科技有限公司是信息通信技术产品、建筑智慧工程服务提供商。其拥有专注于航空、政府、教育、金融等多行业领域的资深团队&#xff0c;及时掌握最新信息通信应用技术&#xff0c;深刻理解行业业务流程&#xff0c;擅于整合市场优质资源&#xff0c;积极保持与高校产…

02_Modbus的功能码与报文详解

Modbus协议类型 Modbus从站四张表类型 主站常用功能码 Modbus TCP请求报文,功能码03Modbus TCP应答报文,功能码03 00 17为23个字节:请求长度加应答长度06+17=23; 14为20长度:14+06=20Modbus UDP请求报文,功能码03Modbus UDP应答报文,功能码03 Modbus RTU请求报文,功能…

Kubernetes-控制器

目录 一、ReplicationController 和 ReplicaSet 1.RC控制器 2.RS控制器 01.matchExpressions 匹配运算符 02. matchLabels 匹配标签 二、Deployment 1.命令行更新镜像版本 2.文件更新镜像版本 3.金丝雀部署 4.金丝雀标签部署 三、DaemonSet 四、Job 五、CronJob …

jenkins常用插件之Filesystem Trigger

安装插件 Filesystem Trigger 项目配置 验证 根据上述配置&#xff0c;当1.txt文件发生变化时&#xff0c;jenkins每分钟会进行检测&#xff0c;检测到后即进行任务构建&#xff0c;后续的具体操作可自行配置

爱普生S2D13V52快速实现车载显示屏高分辨率显示系统

随着时代的发展&#xff0c;汽车驾驶位前中央的显示屏承担的功能也越来越多&#xff0c;从一开始仅仅是显示仪表盘的信息&#xff0c;再到作为显示屏辅助倒车&#xff0c;再到如今和一块平板一样可公认娱乐&#xff0c;显示屏的大小有些时候成为了一辆车够不够好的体现。随着汽…

苹果挖走大量谷歌人才,建立神秘人工智能实验室;李飞飞创业成立「空间智能」公司丨 RTE 开发者日报 Vol.197

开发者朋友们大家好:这里是 「RTE 开发者日报」 ,每天和大家一起看新闻、聊八卦。我们的社区编辑团队会整理分享 RTE(Real Time Engagement) 领域内「有话题的 新闻 」、「有态度的 观点 」、「有意思的 数据 」、「有思考的 文章 」、「有看点的 会议 」,但内容仅代表编辑…

openssh安装教程

openssh安装教程 安装openssh sudo yum install openssh使用命令查看是否成功安装openssh ps -auxf |grep ssh如果出现ssh相关内容,则说明成功安装 启动服务 sudo systemctl start sshd设置开机自启动服务 sudo systemctl enable sshd查看服务器的ip地址,方便用来远程连接 if…

1.python爬虫爬取视频网站的视频可下载的源url

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 一、爬取的源网站二、实现代码总结 一、爬取的源网站 http://www.lzizy9.com/ 在这里以电影片栏下的动作片为例来爬取。 可以看到视频有多页&#xff0c;因此需要…

5G Advanced and Release18简述

5G Advanced 5G-Advanced, formally defined in 3GPP Release 18, represents an upgrade to existing 5G networks. 先睹robot总结的5G Advanced的advancements: Enhanced Mobility and Reliability: 5G-Advanced will support advanced applications with improved mobility…

吴恩达机器学习-第三课-第二周

吴恩达机器学习 学习视频参考b站:吴恩达机器学习 本文是参照视频学习的随手笔记,便于后续回顾。 推荐系统(Recommender System) 提出建议 预测电影评分示例 用户给电影打1-5星,没看过不打分 n表示数量,r表示是否打分,y表示分数使用每个特征 电影特征,例如更倾向于爱情电…

CkickHouse JDBC 使用整理

1. pom 引入 <dependency><groupId>com.clickhouse</groupId><artifactId>clickhouse-jdbc</artifactId><version>0.4.6</version></dependency><dependency><groupId>org.roaringbitmap</groupId><arti…

https加密机制

参考:https://www.cnblogs.com/sxiszero/p/11133747.html 对称加密:只用一个秘钥的加解密,如果秘钥进行了泄漏,导致数据不安全 非对称加密:非对称加密算法需要一组密钥对,分别是公钥和私钥,这两个密钥是成对出现的。公钥加密的内容需要对应的私钥解密,私钥加密的内容需…