#VCS# 关于 +incdir+xxx 编译选项的注意点
前段时间,工作中遇到百思不得其解的坑。 按照以往的理解,没有找到任何可能问题点。今天总结下来。
学习目标:
+incdir+
是 VCS 编译器中用于指定 包含文件(include files) 搜索路径的重要选项,主要用于指定 `include 指令的搜索目录。
一 基本功能
-
作用:添加 Verilog/SystemVerilog `include 文件的搜索路径
-
语法:
+incdir+<目录路径>
-
特点:可以指定多个路径,路径之间用
+
分隔
二 使用方法
vcs +incdir+./include +incdir+../common/defines design.sv
vcs +incdir+./dir1+./dir2+../../shared/defines top_module.v
三 注意事项
源代码:
`timescale 1ns/1ps
`include "uvm_macros.svh"
`include "my_class.sv" //目标编译文件 被 include
import uvm_pkg::*;
`include "my_driver.sv"module top_tb;reg clk;
reg rst